imec

imec

Research Services

Imec is a world-leading R&D and innovation hub in nanoelectronics and digital technologies.

About us

At imec, we shape the future. How? By enabling nano- and digital technology innovation with a significant impact on the quality of life. With our partners from companies, governments and academia. And supported by the three pillars of our R&D: - a unique infrastructure that includes a 2.5-billion-euro 300mm semiconductor pilot line - more than 5,500 expert scientists from over 96 countries - an ecosystem of more than 600 world-leading industry partners and a global academic network Founded in 1984, imec quickly made its name as the leading research hub for advanced CMOS scaling. We will continue to shape the roadmap for the semiconductor industry for years to come. On top of that, we leverage this deep-tech knowhow. And we combine it with software and system knowledge to build up an exceptional portfolio of advanced technologies. The combination of these technologies opens the door to smart, sustainable solutions. These span domains such as healthcare, clean energy and Industry 4.0. Want to join us in shaping the future? Take a look at our research and development offerings, our support services for start-ups, or find out more about working at imec at www.imec-int.com.

Website
http://www.imec-int.com
Industry
Research Services
Company size
5,001-10,000 employees
Headquarters
Leuven
Type
Nonprofit
Founded
1984
Specialties
R&D, nanoelectronics, life sciences, photovoltaics, wireless communications, data science, digital technologies, internet of things, wearables, flexible electronics, data security and privacy for IoT, prototyping and low-volume production, and advanced imaging systems

Locations

Employees at imec

Updates

  • View organization page for imec, graphic

    117,313 followers

    In this interesting paper, published in Advanced Functional Materials and co-authored by imec researchers Antoine Pacco and Harold Philipsen, we present a novel method for precise wet etching of molybdenum (Mo) nanowires. This technique, involving wet oxidation with H2O2 in IPA at -20°C, allows for uniform and controlled etching, overcoming challenges of non-uniform surfaces and increased roughness. Such approach, allowing recess of the metal with nanoscale control, is essential for fabricating complex nanostructures needed in next-generation electronic devices. This advancement highlights our expertise in developing cutting-edge processes for future technologies. Full paper: https://lnkd.in/ezBn4Bte

    • No alternative text description for this image
  • View organization page for imec, graphic

    117,313 followers

    Celebrating four decades of innovation also means celebrating decades of visionary leadership. We have another poll ready to test your imec knowledge on this! Submit your vote ⬇ and join us on a trip down memory lane. We'll reveal the answer soon, along with some nostalgic visuals from CEO Luc’s early years at imec. Stay tuned! #imec40years #innoversary

    This content isn’t available here

    Access this content and more in the LinkedIn app

  • View organization page for imec, graphic

    117,313 followers

    Press Release - In the framework of its Advanced Patterning Program, imec has successfully developed single exposure patterning processes for random logic structures down to 9,5nm (19 nm pitch), random vias with 30nm center-to-center distance, 2D features at 22nm pitch, and a DRAM specific lay out at P32nm. With these results, imec confirms the readiness of the ecosystem to enable single exposure high resolution High NA EUV Lithography. Read more: https://lnkd.in/dTgJsi-6

    • No alternative text description for this image
  • View organization page for imec, graphic

    117,313 followers

    Significant advancements in AI hardware - in a new paper, co-authored by imec researchers and published in Nature Communications Engineering, we introduce a tunable multi-timescale Indium-Gallium-Zinc-Oxide thin-film transistor neuron for spiking neuromorphic applications. This innovative neuron can handle information over a vast range of timescales, surpassing current silicon-based solutions. Our research paves the way for hybrid neural networks, combining the strengths of different technologies to tackle increasingly complex AI tasks. Full paper: https://lnkd.in/ebXb5wS7 Mauricio Velázquez López, Manolis Sifalakis, Francky Catthoor, Kris Myny

    • No alternative text description for this image
  • View organization page for imec, graphic

    117,313 followers

    “In imec’s vibrant international environment, we enjoy local traditions while embracing the enrichment of our diverse expatriate colleagues through cultural exchanges.” Meet Jetmir Haxhibeqiri, who has been part of imec since 2017. Born in Gjakova, Kosovo, Jetmir’s journey took him to Germany for a master’s degree in Communication Engineering, and then to Belgium for a PhD at Ghent University.   Jetmir’s initial years abroad, especially in Germany, were transformative. “As a student living alone for the first time, dealing with everything alone can sometimes be overwhelming. However, this is nothing compared to the chance to meet new people and different cultures. All that contributed to my progress and made me who I am today.” Adapting to diverse cultures, like the punctuality in Germany versus the relaxed approach in Kosovo, shaped his experience.   Outside of work, Jetmir enjoys music, adventure, and nature. He highlights Bunar Fest in Kosovo, featuring a race across the Lumbardhi River and a hilltop concert, and loves the Mirusha waterfall trail with its 13 river lakes and 12 waterfalls. As we celebrate imec's 40th anniversary this year, we share Jetmir’s story to honor the diverse and enriching experiences of our colleagues. Read the full story here: https://lnkd.in/erX9paSq #imec40years

    • No alternative text description for this image
  • View organization page for imec, graphic

    117,313 followers

    🛰️ Attend our On-chip Spectral Imaging Workshop on August 5 at the 38th Annual Small Satellite Conference in Logan, USA, to see how on-chip, integrated spectral imaging makes hyperspectral imaging accessible. At imec, we're integrating spectral filters CMOS imagers, paving the way for innovative earth observation solutions. From small to large area sensors, our tech supports customizable multi- and hyperspectral imaging. Register to our workshop and learn more: https://ow.ly/INBY50SOkv2

    • No alternative text description for this image
  • View organization page for imec, graphic

    117,313 followers

    Accelerate your innovation with imec.IC-link 🚀 Discover all the benefits of custom chips, with an expert team guiding you through the process.    Swipe through to see why imec.IC-link is the trusted partner for over 600 tape-outs a year.   #ASIC #semiconductor #ICdesign #ICtesting #semiconductormanufacturing

    View organization page for imec.IC-link, graphic

    2,425 followers

    What can imec.IC-link do for you? In short: help you bring your ASIC to life. Our wide offering ranges: ✅ from turnkey to flexible services ✅ from 50 dies to thousands of wafers ✅ from 1 μm to 3 nm ✅ from the Americas to Europe to Asia Get in touch to learn why we're the trusted partner for over 600 tape-outs a year: https://ow.ly/ibMo50SFXbn #Semiconductors #ASIC #imecIClink #automotive

  • View organization page for imec, graphic

    117,313 followers

    At ITF Taiwan 2024, imec will showcase key achievements and initiatives aimed at driving the semiconductor industry forward. In conjunction with #SEMICONTaiwan, get an update on pressing challenges, research breakthroughs, and industry roadmaps to accelerate semiconductor innovation and further drive the AI revolution. Imec is celebrating 40 years of semiconductor innovation fueling AI, so get ready for a festive edition. Learn more and register: https://ow.ly/M0Vr50SL6zv #ITFTaiwan #SEMI SEMI Taiwan #ITF2024 #imec40years

    • No alternative text description for this image
  • View organization page for imec, graphic

    117,313 followers

    Imec was represented at BIO Asia-Taiwan this week by Paru Deshpande (VP R&D, Life Sciences) who gave presentations on how technology is transforming healthcare, from early discovery to therapeutic intervention, highlighting the impact of semiconductor advancements on life science applications and medical devices. The event highlighted Taiwan's innovation in biotech and medtech, showcasing the latest advances in therapeutic, diagnostic, and medical equipment sectors within Asia's dynamic biomedical ecosystems. Learn more: https://ow.ly/fa4a50SKYp6

    • No alternative text description for this image
    • No alternative text description for this image

Affiliated pages

Similar pages

Browse jobs